こちら。

Technical Program
NGL WS
2014
Oral Presentations 1
Thursday 17 July ― Kuramae Hall
Openning
10:00
次世代リソグラフィ技術研究会
Openning remarks by the chairman of the NGL research committee
石原 直(東大)
Sunao Ishihara (UTokyo)
Plenary Presentations
Session Chair: Sunao Ishihara (UTokyo)
10:05
次世代リソグラフィ技術へのMEMS応用 –
江
10:45
灔 電子
画とEUV用フィルタ –
Application of MEMS technology to next generation lithography – Massive parallel electron beam lithography and filter for EUV –
正烉、越田 信義、小島 明、池上 尚克、吉田 孝、室山 真烶、宮口 裕、 野 仁、 木 裕 夫(東 大)
Masayoshi Esashi, Nobuyoshi Koshida, Akira Kojima, Naokatsu Ikegami, Takashi Yoshida,
Masanori Muroyama,Hiroshi Miyaguchi, Hitoshi Nishino, and Yukio Suzuki(Tohoku Univ.)
ミニマルファブ構想とミニマルファブの開発
Concept and development of minimal fab
原 史朗(産総研)
Shiro Hara (AIST)
― Coffee Break ―
11:25
Optical Lithography & Multiple Patterning Technology
Session Chair: Takayuki Hasegawa (Canon)
11:40
マルチパターニングを使った
光炻 の灚 によるサブ10ナノ ートルノードへの
Immersion lithography extension to sub-10nm nodes with multiple patterning
応
今井 基勝、大和 壮一(ニコン)
Motokatsu Imai and Soichi Owa (Nikon)
12:05
1x nmノード世代に向けたマルチパターニングの開発状況
Recent progress on multiple-patterning process towards 10nm node
八重
英 (東京 レクトロン)
Hidetami Yaegashi (TOKYO ELECTRON)
12:30
一次元レイアウトの
烁OPCを用いた11nmノードロジックリソグラフィー
11nm logic lithography under 1D layout with simple OPC
田
一 (キヤノン)
Koichiro Tsujita (Canon)
12:55
側壁クアドラプルプロセスの設計制約を考慮したレイアウト手法
Self-aligned quadruple patterning-aware routing
中嶌 史晴(東芝)
Fumiharu Nakajima (Toshiba)
13:20
― Lunch Break ―
Directed Self-Assembly (DSA)
Session Chair: Shinichi Ito (Toshiba)
14:30
DSA炘 を
したシルセスキオキサン 有ブロック共重合体の開発
Development of silsesquioxane-containing block copolymers for DSA materials
早川 晃鏡(東工大)
Teruaki Hayakawa (Tokyo Tech)
14:55
DSAリソグラフィによるサブ10 nmラインパターニング
Sub-10 nm line patterning using directed self-assembly lithography
1
1
東司 、 野
1
炁子 、佐藤 寛暢 、 原
1
1
1
1
介 、小
野村 聡 、峯岸 信也 、宮城 賢 、
1
1
1
勝 、平
1
1
克
1
1
1
1
、小寺 克昌 、 井 秀樹 、木原 尚子 、川門前 善洋 、
1
烶
1
1
2
1
2
、藤原 朋春 、早川 晃鏡 (EIDEC 、東工大 )
1
1
1
Tsukasa Azuma , Yuriko Seino , Hironobu$ Sato , Yusuke Kasahara , Katsutoshi Kobayashi , Katsuyoshi Kodera , Hideki Kanai ,
˲
1
1
1
1
1
1
Naoko Kihara , Yoshiaki Kawamonzen , Satoshi Nomura ,Shinya Minegishi , Ken Miyagi , Toshikatsu Tobana ,
1
1
2
1
2
Noriyuki Hirayanagi , Tomoharu Fujiwara , and Teruaki Hayakawa (EIDEC , Tokyo Tech )
15:20
導体自己組織化(DSA)技術向け
産炻 とプロセスの進展
High-volume manufacturing equipment and processing for directed self-assembly applications
永原 誠司、山内 、川上 真一 、岡田 聡一 、 田
、 孝典、村松 誠、 嶋 夫、中野 雄大、石灦 、
岩城 浩之、道順 麻貴子、八田 浩一、Benjamen Rathsack, Mark Somervell, Ainhoa Romo Negreira,
Ainhoa Romo-Negreira, Doni Parnell, Kathleen Nafus, Jean-Luc Peyre, 野 高 (東京 レクトロン)
Seiji Nagahara, Takashi Yamauchi, Shinichiro Kawakami, Soichiro Okada, Tadatoshi Tomita, Takanori Nishi, Makato Muramatsu,
Etsuo Iijima, Takeo Nakano, Takumi Ishiguro, Hiroyuki Iwaki, Koichi Yatsuda, Makiko Dojun, Benjamen Rathsack, Mark Somervell,
Ainhoa Romo Negreira, Ainhoa Romo-Negreira, Doni Parnell, Kathleen Nafus, Jean-Luc Peyre, and Takahiro Kitano (TOKYO ELECTRON)
15:45
シミュレーションを用いたDSAプロセス 炘 の最適化
Computational approach to DSA process and materials optimization
吉元健治 (京大)
Kenji Yoshimoto (Kyoto Univ.)
― Coffee Break ―
16:10
16:25 - 18:30
Poster Presentations
Poster Session A - Royal Blue Hall
Poster Session B - Kuramae Hall
Oral Presentations 2
Friday 18 July ― Kuramae Hall
E-Beam, Metrology & Mask Technology
Session Chairs: Morihisa Hoga (DNP) and Shoji Hotta (Hitachi)
9:30
16nm ーフ ッチ 瀸の
産 応EBマスク 画炻
EBM-9000
EBM-9000: EB mask writer for product mask fabrication of 16nm half-pitch generation and beyond
竹越 秀和(ニューフレア)
Hidekazu Takekoshi (NuFlare)
9:55
Model-Based手法を用いたIn-Die
烃 測定
In-die registration measurement using novel model-based approach for advanced technology masks
佐藤 俊介(凸版)
Shunsuke Sato(Toppan Printing)
10:20
インラインリファレンス計測を用いたVerification metrology systemの開発
Verification metrology system by using inline reference metrology
阿部 秀昭、石橋 康彦、井田 知宏、濱口 晶、池田 隆洋(東芝)
Hideaki Abe, Yasuhiko Ishibashi, Chihiro Ida, Akira Hamaguchi, and Takahiro Ikeda(Toshiba)
10:45
参照計測ためのTEM画像を用いたレジストおよびFinFETの形状測定
Profile measurement of photoresist and FinFET features by TEM image for reference metrology
高増 潔(東大)
Kiyoshi Takamasu (UTokyo)
― Coffee Break ―
11:10
Exterme Ultraviolet Lithography(EUVL)
Session Chair: Katsuhiko Murakami (Nikon)
11:25
加速器ベースEUVリソグラフィ光源の展望
Review of accelerator-based EUV lithography source
1
1
1
2
1
2
坂上 和之 、鷲尾 方一 、遠藤 彰 (早大 、HighLASE )
1
2
1
2
Kazuyuki Sakaue , Masakazu Washio , and Akira Endo (Waseda Univ. , HighLASE )
11:50
EIDECにおけるEUVL技術開発の進展(2014)
Progress of EUVL technology development in EIDEC (2014)
井上 壮一(EIDEC)
Soichi Inoue (EIDEC)
12:15
EUV lithography industrialization progress
森崎 健史(ASML-J)
Kenji Morisaki(ASML-J)
12:40
導体 産用EUV光源開発の最新状況
Update of EUV source development status for HVM lithography
溝口 計(ギガフォトン)
Hakaru Mizoguchi (GIGAPHOTON)
― Lunch Break ―
13:05
Nanoimprint Lithography(NIL)
Session Chair: Hideo Kobayashi (HOYA)
14:10
凝縮性ガス雰囲気を用いた革新的光ナノインプリントリソグラフィ
Innovative UV nanoimprint lothography using a condensable gas atomosphere
1
2
3
4
1
2
3
4
松井 真二 、廣島 洋 、平井 義彦 、中川 勝 (兵県大 、産総研 、大阪府大 、東 大 )
Shinji Matsui 1, Hiroshi Hiroshima2, Yoshihiko Hirai3, and Masaru Nakagawa4 (Univ. Hyogo1, AIST 2, Osaka Pref. Univ.3, Tohoku Univ.4)
14:35
NILテンプレートの品質と開発の現状
Development status of nanoimprint template quality
市村 公二(DNP)
Kouji Ichimura (DNP)
― Coffee Break ―
15:00
15:20 - 17:30
Panel Discussion
次世代リソグラフィ技術を
る炘 、炻 技術と
Issues on materials and influstractures supporting next generation lithography
― Sub-10nmへの準備は整ったか ―
― Ready for sub-10 nm? ―
Panelists
(
イテク)Takeshi Kato (Hitachi-HT)
木村 徹(JSR)Tooru Kimura (JSR)
直也(DNP)Naoya Hayashi (DNP)
英 (東京 レクトロン)Hidetami Yaegashi (TOKYO ELRCTRON)
他
加藤
八重
Moderators
岡崎 信次(ギガフォトン)、堀田 尚二(
)、藤井 (ル サス)
Shinji Okazaki (GIGAPHOTON), Shoji Hotta (Hitachi), and Kiyoshi Fujii (Renesas)
Poster Sessions A
Thurs a
ul
NGL WS
2014
Royal Blue Hall
Optical Lithography & Multiple Patterning Technology
P1
レイアウトトポロジーを考慮したホットスポット修正システムの開発
Configurable hot spot fixing system
梶原 誠生(東芝)
Masanari Kajiwara (Toshiba)
P2
LELEダブルパターニングのための灕烼
を考慮した高速マスク
手法
Fast mask assignment method considering yield for LELE double patterning
小平
1
2
2
秀 、横山 陽子 、灮
3
2
2
1
2
3
、高橋 篤司 、野嶋 茂樹 、田中 聡 (会津大 、東芝 、東工大 )
1
2
2
Yukihide Kohira , Yoko Yokoyama , Chikaaki Kodama , Atsushi Takahashi3,
Shigeki Nojima2, and Satoshi Tanaka2 (Univ. Aizu1, Toshiba2, Tokyo Tech3)
P3
SEM
を用いた10nmノード
応OPC
リング
OPC modeling with SEM contour technique for 10nm node process
一
1
3
1
2
2
2
2
2
、岡井 信裕 、Scott Halle 、Marshal Miller 、Ioana Graur 、Nicole Saulnier 、Derren Dunn 、
3
4
4
4
堀田 尚二 、山口 敦子 、小室 仁 、石本 透 、腰原 俊介 、
4
(
ア リ
1
2
3
、IBM 、
、
4
イテク )
Keiichiro Hitomi1, Nobuhiro Okai1, Scott Halle2, Marshal Miller2, Ioana Graur 2, Nicole Saulnier2, Derren Dunn 2, Shoji Hotta3, Atsuko
Yamaguchi3, Hitoshi Komuro4, Toru Ishimoto4, Shunsuke Koshihara4, and Yutaka Hojo 4 (Hitachi America 1, IBM2, Hitachi3, Hitachi-HT4)
P4
Low-k1リソグラフィにおけるスキャナ性能の予測と最適化
Scanner performance predictor and optimizer in further low-k1 lithography
山
、松山 知
(ニコン)
Hajime Aoyama and Tomoyuki Matsuyama (Nikon)
P5
i
光炻
のプロセスオーバレイ烃
の向上に向けた
組
The solution to enhance i-line stepper application by improving mix and match process overlay accuracy
住吉 雄平(キヤノン)
Yuhei Sumiyoshi (CANON)
Directed Self-Assembly (DSA)
P6
シリコン 有ブロックコポリマーを用いたDSA炘
開発
DSA material development of Si containing BCP
松宮
、瀬下
、太
尚宏、平山
、大森 克実 (東京応化)
Tasuku Matsumiya, Takehiro Seshimo, Takahiro Dazai, Taku Hirayama ,and Katsumi Ohmori (TOK)
P7
自己組織化リソグラフィー向けブロック共重合体の開発と配向制御
Orientation control and development of block copolymer for directed self assembly lithography
小松 裕之、成岡 岳彦、永井 智樹、木村 徹 (JSR)
Hiroyuki Komatsu, Takehiko Naruoka, Tomoki Nagai, and Tooru Kimura (JSR)
P8
DSA向けHigh –χ 炘
Organic high-χ block copolymers for directed self-assembly
SungEun Hong(AZ-EM)
E-Beam, ML2, Metrology, and Mask Technology
P9
高耐性MoSiマスクへの電子ビーム修正適用
Application of EB repair for high durable MoSi phase shift mask
光真
(東芝)
Shingo Kanamitsu (Toshiba)
P10
ビルトインレンズマスクリソグラフィ
Built-in lens mask lithography
上田 直樹、笹子 勝、菊田 久雄、川田 博昭、平井 義彦(大阪府大)
Naoki Ueda, Masaru Sasago, Hisao Kikuta, Hiroaki Kawata, and Yoshihiko Hirai (Osaka Pref. Univ.)
P11
セルラオートマタ技術を使用したホットスポット修正の研究
Study of hotspot repair using cellular automata method
永瀬 典正、竹内 寛時(富士通)
Norimasa Nagase and Kanji Takeuchi (Fujitsu)
P12
欠陥画像を用いたキャリブレーションによるAIMS D/DB性能評価
Evaluation of AIMS D2DB simulation without calibration images
口 正治(
)
Masaharu Nishiguchi (DNP)
P13
CD-SEMによる
計測烃
の向上
Improvement of CD-SEM mark position measurement accuracy
健太
、福原 和也(東芝)
Kentaro Kasa and Kazuya Fukuhara (Toshiba)
Poster Sessions B
Thurs a
ul
Kuramae Hall
Exterme Ultraviolet Lithography(EUVL)
P14
16nm ーフ ッチ向けEUVL用炘
灧びEUVレジストの欠陥低
Novel EUV resist materials for 16nm half pitch and EUV resist defects
木元 孝和、白谷 宗大、成岡 岳彦、丸山 研、Ramakrishnan Ayothi、Yoshi Hishiro、
星子 賢二、Andreia Santos、Xavier Buch、木村 徹(JSR)
Takakazu Kimoto, Motohiro Shiratani, Takehiko Naruoka, Ken Maruyama, Ramakrishnan Ayothi,
Yoshi Hishiro, Kenji Hoshiko, Andreia Santos, Xavier Buch, and Tooru Kimura (JSR)
P15
14nm ーフ ッチ灧び
下に向けたレジスト炘
の設計
Novel EUV resist materials design for 14 nm half pitch and below
椿 英明、樽谷 晋司、井上 尚紀、滝沢 裕雄、後藤 孝浩(富士フイルム)
Hideaki Tsubaki, Shinji Tarutani, Naoki Inoue, Hiroo Takizawa, and Takahiro Goto (FUJIFILM)
P16
EUV光
用によるEUVマスク
技術
Development of EUV evaluation tools for EUV mask in NewSUBARU
1
1
藤野 貴大 、原田 哲男
Takahiro Fujino , Tetsuo Harada
P17
応に
炑した
1,2
1,2
、渡邊 健夫
, Takeo Watanabe
評価による化学増幅系EUV用レジストの
1,2
1,2
1,2
、木下 博雄
1
2
(兵県大 、CREST/JST )
1,2
, and Hiroo Kinoshita
1
(Univ. Hyogo , CREST/JST2)
応解
Chemical reaction analysis of chemical amplified EUV resist by evaluating the thickness loss due to deprotection reaction
1
1
1
1
2
2
1
2
1
1
江村 和也 、渡邉 健夫 、山口 太都 、谷野 寛仁 、福井 翼 、塩野 大寿 、春山 雄一 、村松 康司 、
1
1
1
2
大森 克実 、佐藤 和史 、原田 哲男 、木下 博雄 (兵県大 、東京応化 )
Kazuya Emura 1, Takeo Watanabe 1, Masato Yamaguchi1, Hirohito Tanino1, Tsubasa Fukui1, Daiju Shiono2, Yuichi Haruyama1,
Koji Muramatsu1, Katsumi Omori2, Kazushi Sato2, Tetsuo Harada1, and Hiroo Kinoshita1 (Univ. Hyogo1, TOK2)
P18
非化学増幅系EUVレジストの低温現像による解像性能向上
The resolution performance improvement by low temperature development for non-chemically amplified EUV resist
1
1
1
1
1
1
1
山口 太都 、江村 和也 、福井 翼 、谷野 寛仁 、原田 哲男 、渡邊 健夫 、木下 博雄 、星野
1
1
2
1
1
2
一 (兵県大 、グルーオンラボ )
1
Masato Yamaguchi , Kazuya Emura , Tsubasa Fukui , Hirohito Tanino , Tetsuo Harada1,
Takeo Watanabe 1, Hiroo Kinoshita1, and Ryoichi Hoshino2 (Univ. Hyogo1, Gluon Lab.2)
Nanoimprint Lithography(NIL)
P19
側壁プロセスを用いたSub-10nm石英テンプレートの開発状況
Development status of sub-10 nm quartz template with side-wall process
石川 幹雄(DNP)
Mikio Ishikawa (DNP)
P20
UVナノインプリントにおける光硬化性樹
の最適
加
の
Search for the additive most suitable to UV-curable resist in UV nanoimprint
1
1
1
2
3
4
1
1
2
伊吉 就三 、岡田 真 、春山 雄一 、山下 恒雄 、中川 勝 、廣島 洋 、松井 真二 (兵県大 、ダイキン 、東
3
4
大 、産総研 )
Shuso Iyoshi1, Makoto Okada1, Yuichi Haruyama1, Tsuneo Yamashita2, Masaru Nakagawa3,
Hiroshi Hiroshima4, and Shinji Matsui1 (Univ. Hyogo1, DAIKIN2, Tohoku Univ.3, AIST4)
P21
プロセスの解
と低欠陥
方法
Study on de-molding process and low defective de-molding method in NIL
中村 直瀰、
野 貴灱、焅村 公
、
田
昭、川田 博昭、平井 義彦 (大阪府大)
Naoto Nakamura, Takamitsu Tochino, Kousuke Uemura, Masaaki Yasuda, Hiroaki Kawata, and Akihiko Hirai (Osaka Pref. Univ.)
P22
光ナノインプリントリソグラフィで作製した誘導自己組織化用シリコンガイド
Silicon guides for directed self-assembly fabricated by UV nanoimprint lithography
伊東
也、中川 勝(東
大)
一、中川 勝(東
大)
Shunya Ito and Masaru Nakagawa (Tohoku Univ.)
P23
リバーサル光ナノインプリントにおける光硬化性蛍光組成物
Fluorescent UV-curable resins in reversal UV nanoimprint
上原
也、久
Takuya Uehara, Shoichi Kubo, and Masaru Nakagawa (Tohoku Univ.)
R&D Support Program
P24
為加工プラットフォーム ( 部瀽学
共用設備
)
Nanofabrication Platform, user facility promoted by MEXT
1
1
1
1
明 、有本 宏 、
1
1
1
1
2
1
2
合 炅烶 、島本 直伸 、和田 恭雄 、中村 邦彦 (産総研 、京大 )
1
1
2
1
Tatsuaki Ataka , Hiroshi Arimoto , Yukinori Ochiai , Naonobu Shimamoto , Yasuo Wada , and Kunihiko Nakamura (AIST , Kyoto Univ.2)